Welcome![Sign In][Sign Up]
Location:
Search - fpga ad

Search list

[VHDL-FPGA-Verilogsample8

Description: 运行在FPGA上的Verilog程序,实现对ADC的控制。在控制模块提供的时钟及控制信号下工作,完成模拟信号的量化和编码。
Platform: | Size: 300032 | Author: 叶开 | Hits:

[Otherad7656

Description: ad7656采样的程序,适合FPGA应用,按照芯片资料的时序进行编写-AD7656 sampling procedures, suitable for FPGA applications, in accordance with the chip timing data for the preparation of
Platform: | Size: 1024 | Author: 周海旭 | Hits:

[VHDL-FPGA-VerilogReleased-FPGA-CODE-AD9289-RevD

Description: AD9289的控制 使用Verilog语言-AD9289 control using the Verilog language
Platform: | Size: 338944 | Author: 徐凯 | Hits:

[VHDL-FPGA-VerilogFPGAdesign

Description: 这是一本介绍FPGA设计过程中关键问题的资料书,对参加面试或工程设计有一定帮助-This is an FPGA design process, introduce the key issues of information written on the interview or take part in engineering design has a certain extent, help
Platform: | Size: 967680 | Author: 杨国超 | Hits:

[VHDL-FPGA-VerilogFPGA_AD

Description: 基于Altera的FPGA开发的基于FPGA的AD转换功能,完全通过验证。-Altera s FPGA-based development of FPGA-based AD conversion function, fully validated.
Platform: | Size: 58368 | Author: sq | Hits:

[VHDL-FPGA-VerilogFPGAAD

Description: FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar-FPGA control AD procedure
Platform: | Size: 269312 | Author: 黄群 | Hits:

[VHDL-FPGA-VerilogLVDS_DDR_List_FPGA2

Description: FPGA芯片与ADI公司的AD9779之间的通信,总共有四个通道,68对LVDS,采样时钟是122.88MHz-FPGA chips ADI' s AD9779 and communication between, a total of four channels, 68 pairs of LVDS, the sampling clock is 122.88MHz
Platform: | Size: 808960 | Author: linpingping | Hits:

[VHDL-FPGA-VerilogAD-Based_on_FPGA

Description: 使用VHDL语言编写的A/D转换程序,可在FPGA平台使用-VHDL language used A/D conversion process can be used in the FPGA platform
Platform: | Size: 58368 | Author: 东风 | Hits:

[DSP programDSPFPGA

Description: 针对电梯数据采集数目较多和数据处理复杂等特点,提出了基于数字信号处理器(DSP)和现场可编程门阵列(FPGA)的电梯智能数据采集系统。在介绍了系统整体结构及各组成子模块后,给出了模块与器件之间硬件接口设计思路和架构,描述了整个系统的软件框架,设计了DSP、AD采样、网络通信和抗干扰等程序。整个系统在工程应用中易于实现,具有很好的推广价值-n accordance with the characteristic of elevator for the large number of data acquisition and handling data complicated, an el- evator data acquisition system based on digital signal processor (DSP) and field programmable gate array (FPGA) is given. After system structure and modules are introduced, the design of interfaces of the hardware and framework between modules and devices are provided, the software design used in the system is described, the DSP, AD sampling, network communication
Platform: | Size: 179200 | Author: 将建 | Hits:

[OtherSDRAMVerilogHDL

Description: FPGA控制串行AD(AD0804),状态机实现,可以根据该程序实现数字电压计,数字温度计的设计-FPGA serial control AD (AD0804), state machine to achieve, you can program according to the number of voltage, the digital thermometer design
Platform: | Size: 720896 | Author: qa | Hits:

[VHDL-FPGA-VerilogTHS1206

Description: FPGA来实现数据采集,AD采用TI公司的THS1206,高速并行AD,内含16字FIFO,降低硬件复杂度。-FPGA to realize data acquisition, AD using TI company s THS1206, high-speed parallel AD, containing the 16-character FIFO, to reduce hardware complexity.
Platform: | Size: 1024 | Author: LX | Hits:

[VHDL-FPGA-Verilog200M_DA_AD

Description: 自己编的,用FPGA实现软件DDS调幅。编程语言是VHDL。拿出来相互学习一下。-Own, and with FPGA AM DDS software. Programming language is VHDL. Look out to learn from each other.
Platform: | Size: 271360 | Author: lixuedeng | Hits:

[Booksise

Description: 简单的高速接口,FPGA和高速AD的接口编程-Simple high-speed interface, FPGA and high-speed programming interface AD
Platform: | Size: 13312 | Author: 801210 | Hits:

[Embeded-SCM DevelopFPGA

Description: 有关FPGA的一些资料以及个别源程序,编辑环境为quartus 主要有:电子设计竞赛优秀论文 ----相位测量仪 采用高速AD的存储示波器设计 基于FPGA的多种分频设计与实现 兼容ALTERA公司的USB Blaster下载线的原理图和PCB文件 FPGA与单片机的接口程序 FPGA的大量课件和实验源代码资料 FPGA七段译码器的设计 QuartusII学习资料-FPGA QuartusII
Platform: | Size: 51513344 | Author: 丁南 | Hits:

[VHDL-FPGA-VerilogADS7852

Description: FPGA采用VHDL语言驱动ADS7852的程序,-FPGA and ADS7852
Platform: | Size: 239616 | Author: xila | Hits:

[VHDL-FPGA-VerilogAD

Description:
Platform: | Size: 13312 | Author: 郭富民 | Hits:

[VHDL-FPGA-VerilogFPGA_AD

Description: 基于 Cyclone EP1C6240C8 FPGA的ADS2807接口程序,主要用来使用FPGA控制ADS2807的采集。 采用FPGA来模拟ADS2807的时序来实现控制功能。 提供采样频率控制、AD通道转换、采样数据缓存等功能。-Cyclone EP1C6240C8 FPGA-based interface program of the ADS2807, ADS2807 is mainly used to control the use of FPGA collection. ADS2807 with FPGA to simulate the timing to achieve control functions. To provide sampling frequency control, AD-channel conversion, sampled-data caching and other functions.
Platform: | Size: 246784 | Author: icemoon1987 | Hits:

[SCMC51_module

Description: 积累的c51编程小模块,内附22个源代码,涉及到单片机、串口、fpga、交通灯、ad、da、按键扫瞄、液晶显示、电子钟、数据处理等。可供参考。-C51 programming accumulated a small module, containing 22 source code, related to a single chip, serial, fpga, traffic lights, ad, da, keypad scanning, LCD display, data processing. For reference.
Platform: | Size: 21504 | Author: 张尅 | Hits:

[USB develop42pcb

Description: 该工程是一个FPGA的开发板 主要包括了FPGA芯片:EP3C25Q240C8 FPGA的配置芯片采用:EPCS16 USB芯片:CY7C68013A USB的FLASH采用:24LC64 AD芯片:AD9238 电源部分:LMS1117-3.3两块分别对USB、模拟部分和FPGA供电;LMS1117-1.2为FPGA核心电压;LMS1117-2.5为 IO口及PLL供电 9盏LED,4个开关 主要完成的一个功能是高速模拟信号的采集,以及上位机传输,该板经调试可用,现已在实验室批量使用。 铺铜采用了网格形式,并且将模拟部分与数字部分隔离开来。 如有疑问欢迎来询:QQ150524225-The project is an FPGA development board includes an FPGA chip: EP3C25Q240C8 FPGA configuration chip: EPCS16 USB Chip: CY7C68013A USB to FLASH using: 24LC64 AD chip: AD9238 power supply: LMS1117-3.3 respectively, two USB, analog and FPGA Power LMS1117-1.2 for the FPGA core voltage LMS1117-2.5 for the IO port, and PLL power supply 9 LED, 4 switches, a main function is to complete high-speed analog signal acquisition, and the host computer transmission, the plate was debugging is available, is now used in the laboratory batch. Using a grid of copper to form, and the analog part and digital part to isolate. If in doubt welcome to inquiry: QQ150524225
Platform: | Size: 2314240 | Author: 刘卫菠 | Hits:

[VHDL-FPGA-VerilogAD_sample_100Mhz

Description: 用Verilog编写的FPGA AD采样 用Verilog编写的FPGA AD采样-AD_sample_100Mhz
Platform: | Size: 342016 | Author: | Hits:
« 1 23 4 5 6 7 8 9 10 ... 14 »

CodeBus www.codebus.net